生成 Verilog 文件

sbt执行runMain board.z710.VerilogGenerator
image.png

生成比特流二进制文件

假设你的 Vivado 安装目录是 E:\Xilinx(其他目录自行修改)

yatcpu\vivado\z710路径执行

cd your_path\yatcpu\vivado\z710
E:\Xilinx\Vivado\2020.1\bin\vivado -mode batch -source generate_bitstream.tcl

image.png
执行后会自动弹出新窗口等待执行结束

烧板

使用cmd(不要用powershell)

cd your_path\yatcpu\vivado\z710

E:\Xilinx\Vivado\2020.1\bin\vivado -mode batch -source program_device.tcl

e14699be64312786cdf55c6882f8296.jpg

参考文档:
烧板验证 - YatCPU 实验文档 (sysu.tech)
测试文件由Tokisakix制作

Last modification:December 7, 2023
如果觉得我的文章对你有用,请随意赞赏